Profilazione

From FreeCAD Documentation
Revision as of 20:49, 4 September 2020 by Renatorivo (talk | contribs) (Created page with "== Risorse ==")
(diff) ← Older revision | Latest revision (diff) | Newer revision → (diff)
Other languages:

Descrizione

La profilazione del codice di FreeCAD aiuta a trovare i colli di bottiglia negli algoritmi utilizzati per creare o manipolare oggetti.

Per profilare il codice Python usare il modulo standard cProfile per definire i punti di inizio e fine del profilo nel codice.

import cProfile
pr = cProfile.Profile()
pr.enable()

# --------------------------------------
# Lines of code that you want to profile
# --------------------------------------

pr.disable()
pr.dump_stats("/tmp/profile.cprof")

Quindi installare e usare pyprof2calltree per convertire l'output del profilo in input di cachegrind.

pyprof2calltree -i /tmp/profile.cprof -o /tmp/callgrind.out

Quindi visualizzare queste informazioni con kcachegrind per Linux o qcachegrind per Windows.

kcachegrind /tmp/callgrind.out

Risorse